TSMC looks set to hit Intel where it hurts, announcing its A16 node with ‘Super Power Rail’ backside power delivery

44
TSMC Wafer

While Intel has been busy making bets on its 18A node as part of its accelerated roadmap towards chip making dominance, TSMC appears to have been beavering away in the background to undercut it. 

It’s just announced its own “angstrom-class” process, A16, which thanks to its backside power delivery implementation looks set to not only offer significantly improved performance compared to its upcoming NP2 process, but also improve energy efficiency, too. Intel has its own competing backside power delivery tech, PowerVia. While Intel says it will begin producing chips on 18A next year, it doesn’t expect to start producing large volumes of chips on the 18A node until 2027.

www.pcgamer.com